




版權說明:本文檔由用戶提供并上傳,收益歸屬內容提供方,若內容存在侵權,請進行舉報或認領
文檔簡介
1、級學生EDA課程設計 EDA課程設計報告書課題名稱 汽車尾燈控制系統(tǒng) 姓 名 學 號 院 系 專 業(yè) 指導教師 年 月 日 設計任務及要求: 1.設計要求 (1) 汽車正常使用是指示燈不亮。 (2) 汽車右轉時,右側的一盞燈亮。 (3) 汽車左轉時,左側的一盞燈亮。 (4) 汽車剎車時,左右兩側的指示燈同時亮。 (5) 汽車夜間行駛時,左右兩側的指示燈同時亮,供照明使用。 指導教師簽名: 2011年 月 日 二、指導教師評語:指導教師簽名: 2011年 月 日 三、成績驗收蓋章: 2011年 月 日 1.引 言 隨著社會的發(fā)展,科學技術也在不斷的進步,狀態(tài)機的應用越來越廣泛。現(xiàn)代交通越來越擁擠
2、,安全問題日益突出,在這種情況下汽車尾燈控制器的設計成為解決交通安全問題一種好的途徑。在本課程設計根據(jù)狀態(tài)機原理1實現(xiàn)了汽車尾燈常用控制。1.1設計目的本次設計的目的就是通過實踐深入理解計算機組成原理,了解EDA技術2并掌握VHDL硬件描述語言的設計方法和思想。以計算機組成原理為指導,通過學習的VHDL語言結合電子電路的設計知識理論聯(lián)系實際,掌握所學的課程知識和基本單元電路的綜合設計應用。通過對實用汽車尾燈控制器3的設計,鞏固和綜合運用所學知識,提高IC設計能力,提高分析、解決計算機技術實際問題的獨立工作能力。1.2設計的基本內容根據(jù)計算機中狀態(tài)機原理,利用VHDL設計汽車尾燈控制器的各個模塊
3、,并使用EDA 工具對各模塊進行仿真驗證。汽車尾燈控制器的設計分為4個模塊:時鐘分頻模塊、汽車尾燈主控模塊,左邊燈控制模塊和右邊燈控制模塊。把各個模塊整合后就形成了汽車尾燈控制器。通過輸入系統(tǒng)時鐘信號和相關的汽車控制信號,汽車尾燈將正確顯示當前汽車的控制狀態(tài)。1.3 EDA的介紹 1.3.1 EDA技術的概念EDA是電子設計自動化(Electronic Design Automation)的縮寫,在20世紀90年代初從計算機輔助設計(CAD)、計算機輔助制造(CAM)、計算機輔助測試(CAT)和計算機輔助工程(CAE)的概念發(fā)展而來的。EDA技術就是以計算機為工具,設計者在EDA軟件平臺上,用
4、硬件描述語言HDL完成設計文件,然后由計算機自動地完成邏輯編譯、化簡、分割、綜合、優(yōu)化、布局、布線和仿真,直至對于特定目標芯片的適配編譯、邏輯映射和編程下載等工作。1.3.2 EDA技術的特點利用EDA技術進行電子系統(tǒng)的設計,具有以下幾個特點: 用軟件的方式設計硬件; 用軟件方式設計的系統(tǒng)到硬件系統(tǒng)的轉換是由有關的開發(fā)軟件自動完成的; 設計過程中可用有關軟件進行各種仿真; 系統(tǒng)可現(xiàn)場編程,在線升級; 整個系統(tǒng)可集成在一個芯片上,體積小、功耗低、可靠性高。因此,EDA技術是現(xiàn)代電子設計的發(fā)展趨勢。1.3.3 EDA設計流程典型的EDA設計流程如下:1、文本/原理圖編輯與修改。首先利用EDA工具的
5、文本或圖形編輯器將設計者的設計意圖用文本或圖形方式表達出來。 2、編譯。完成設計描述后即可通過編譯器進行排錯編譯,變成特定的文本格式,為下一步的綜合做準備。 3、 綜合。將軟件設計與硬件的可實現(xiàn)性掛鉤,是將軟件轉化為硬件電路的關鍵步驟。4、 行為仿真和功能仿真。利用產生的網表文件進行功能仿真,以便了解設計描述與設計意圖的一致性。5、適配。利用FPGA/CPLD布局布線適配器將綜合后的網表文件針對某一具體的目標器件進行邏輯映射操作,其中包括底層器件配置、邏輯分割、邏輯優(yōu)化、布局布線。適配報告指明了芯片內資源的分配與利用、引腳鎖定、設計的布爾方程描述情況。 6、 功能仿真和時序仿真。7、 下載。如
6、果以上的所有過程都沒有發(fā)現(xiàn)問題,就可以將適配器產生的下載文件通過FPGA/CPLD下載電纜載入目標芯片中。 8、 硬件仿真與測試。1.4硬件描述語言(VHDL)1.4.1 VHDL的介紹VHDL(Very-High-Speed Integrated Circuit Hardware Description Language)主要用于描述數(shù)字系統(tǒng)的結構,行為,功能和接口。除了含有許多具有硬件特征的語句外,VHDL的語言形式和描述風格與句法是十分類似于一般的計算機高級語言。VHDL的程序結構特點是將一項工程設計,或稱設計實體(可以是一個元件,一個電路模塊或一個系統(tǒng))分成外部(或稱可是部分,及端口)
7、和內部(或稱不可視部分),既涉及實體的內部功能和算法完成部分。在對一個設計實體定義了外部界面后,一旦其內部開發(fā)完成后,其他的設計就可以直接調用這個實體。這種將設計實體分成內外部分的概念是VHDL系統(tǒng)設計的基本1.4.2 VHDL語言的特點1.用VHDL代碼而不是用原理圖進行設計,意味著整個電路板的模型及性能可用計算機模擬進行驗證。2.VHDL元件的設計與工藝無關,與工藝獨立,方便工藝轉換。3.VHDL支持各種設計方法,自頂向下、自底向上或者混合的都可以。4.可以進行從系統(tǒng)級到邏輯級的描述,即混合描述。5.VHDL區(qū)別于其他的HDL,已形成標準,其代碼在不同的系統(tǒng)中可交換建模。2.總體設計2.1
8、需求分析根據(jù)現(xiàn)代交通規(guī)則,汽車尾燈控制器應滿足以下基本要求:1.汽車正常使用時指示燈不亮。2.汽車右轉時,右側的一盞燈亮。3.汽車左轉時,左側的一盞燈亮。4.汽車剎車時,左右兩側的指示燈同時亮。5.汽車夜間行駛時,左右兩側的指示燈同時一直亮,供照明使用。2.2汽車尾燈控制器的工作原理汽車尾燈控制器就是一個狀態(tài)機的實例。當汽車正常行駛時所有指示燈都不亮;當汽車向右轉彎時,汽車右側的指示燈RD1亮;當汽車向左側轉彎時,汽車左側的指示燈LD1亮;當汽車剎車時,汽車右側的指示燈RD2和汽車左側的指示燈LD2同時亮;當汽車在夜間行駛時,汽車右側的指示燈RD3和汽車左側的指示燈LD3同時一直亮。通過設置系
9、統(tǒng)的輸入信號:系統(tǒng)時鐘信號CLK,汽車左轉彎控制信號LEFT,汽車右轉彎控制信號RIGHT,剎車信號BRAKE,夜間行駛信號NIGHT和系統(tǒng)的輸出信號:汽車左側3盞指示燈LD1、LD2、LD3和汽車右側3盞指示燈RD1、RD2、RD3實現(xiàn)以上功能。圖2.2 整體組裝設計原理圖2.3 汽車運行狀態(tài)表和總體框圖開關控制汽車運行狀態(tài)右轉尾燈左轉尾燈S0 S1 S2R1 R2R3L1L2L30 0 0正常運行燈滅燈滅0 0 1左轉彎燈滅按L1L2L3順序循環(huán)點亮0 1 0右轉彎按R1R2R3順序循環(huán)點亮燈滅0 1 1臨時剎車/檢測所有尾燈同時點亮1 0 0倒車所有尾燈按照轉彎次序點亮1 0 1晚上行車
10、時R3 ,L3一直點亮汽車尾燈和汽車運行狀態(tài)表1開關控制電路譯碼電路74138顯示驅動電路記數(shù)電路74161R1R2R3 L1L2L3脈沖產生電路555圖2.3 汽車尾燈控制電路設計總體框圖3.詳細設計3.1各組成模塊 實現(xiàn)的主要功能是通過開關控制從而實現(xiàn)汽車尾燈的點亮方式。汽車尾燈控制器有4個模塊組成,分別為:時鐘分頻模塊、汽車尾燈主控模塊,左邊燈控制模塊和右邊燈控制模塊,以下介紹各模塊的詳細設計。3.2時鐘分頻模塊整個時鐘分頻模塊的工作框圖如圖3.2所示。CLK CPSZ 圖3.2時鐘分頻模塊工作框圖時鐘分頻模塊由VHDL程序來實現(xiàn),下面是其中的一段VHDL代碼:ARCHITECTURE
11、ART OF SZ ISSIGNAL COUNT:STD_LOGIC_VECTOR(7 DOWNTO 0);BEGINPROCESS(CLK) BEGIN IF CLK' EVENT AND CLK = '1'THEN COUNT <= COUNT + 1; END IF; END PROCESS; CP<= COUNT(3);END ART;3.3 汽車尾燈主控模塊汽車尾燈主控模塊工作框圖如圖3.3所示LEFT LPRIGHT RPBRAKE LRNIGHT BRAKE_LED NIGHT_LEDCTRL 圖3.3 主控模塊工作框圖汽車尾燈主控模塊由VHD
12、L程序來實現(xiàn),下面是其中的一段VHDL代碼:LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY CTRL IS PORT (left,right,brake:in std_logic; lfen,rten,lr:out std_logic); end CTRL; architecture a of crtl IS BEGIN PROCESS(left,right) variable tmp:std_logic_vector(2 downto 0); BEGIN tmp:=brake&left&right; CASE tmp IS
13、WHEN "000"=>lfen<='0'retn<='0'lr<='0' WHEN "001"=>lfen<='0'retn<='1' lr<='0' WHEN "010"=>lfen<='1'retn<='0'lr<='0' WHEN others=>lfen<='1'retn<=
14、39;1'lr<='1' end case; end process;end a;3.4左邊燈控制模塊 左邊燈控制模塊的工作框圖如圖3.4所示。CLK LP LEDLLR LEDBBRRAKE LEDNNIGHT LC 圖3.4左邊燈控制模塊的工作框圖左邊燈控制模塊由VHDL程序來實現(xiàn),下面是其中的一段VHDL代碼: ARCHITECTURE ART OF LC IS BEGIN LEDB<=BRAKE; LEDN<=NIGHT; PROCESS(CLK,LP,LR) BEGIN IF CLK'EVENT AND CLK = '1
15、9; THEN IF(LR ='0')THEN IF(LP = '0')THEN LEDL<='0' ELSE LEDL<='1' END IF; ELSE LEDL <='0' END IF; END IF;END PROCESS;END ART;3.5右邊燈控制模塊右邊燈控制模塊的工作框圖如圖3.5所示CLK RP LED0LR LED1BRAKE LED2NIGHT RC圖3.5 右邊燈控制模塊的工作框圖右邊燈控制模塊由VHDL程序來實現(xiàn),下面是其中的一段VHDL代碼: LIBRARY IE
16、EE: USE IEEE.STD_LOGIC_1164.ALL; ENTITY leftp IS PORT SIGNAL tmp:std_logic_vector(2 downto 0); BEGIN (leften,clk,lr:in std_logic; led2,led1,led0:out std_logic); end leftp; ARCHITECTURE a of leftp is PROCESS(clk,leften,lr) Beginif(clk'event and clk='1')thenif lr='0' thenif leften=
17、'0' thentmp<="000"Else if(tmp="000")thenElse tmp<=tmp(1 downto 0)&'0'end if;end if; Elsetmp<="111"end if;end if;end process; led2<=tmp(2);led1<=(1); led0<=(0); end a; 4.系統(tǒng)仿真與調試 4.1分頻模塊仿真及分析 分頻模塊由VHDL程序實現(xiàn)后,其仿真圖如圖4.1所示圖4.1圖4.1分頻模塊時序仿真
18、圖 時鐘分頻把600ns的脈沖分對其仿真圖進行仿真分析:如圖所示,首先生成 一個600ns的時鐘脈沖,通過成一個40ns的脈沖,實現(xiàn)了信號同步。4.2汽車尾燈主控模塊仿真及分析汽車尾燈主控模塊由VHDL程序實現(xiàn)后,其仿真圖如圖4.2所示。 圖4.2主控模塊時序仿真圖 對時序仿真圖進行分析:RIGHT,LEFT,NIGHT,BRAKE 為輸入信號,RIGHT為1表示右轉,LEFT為1表示左轉,NIGHT為1表示夜間行路,BRAKE為1表示剎車。RP,LP,NIGHT_LED,BRAKE_LED為輸出信號。如圖所示:當RIGHT為1時,產生一個RP為1的信號脈沖輸出,當LEFT為1時,產生一個LP
19、為1的信號脈沖輸出,當NIGHT為1時,產生一個NIGHT_LED為1的信號脈沖輸出。當BRAKE為1時,產生一個BRAKE_LED為1的信號脈沖輸出。4.3左邊燈控制模塊仿真及分析左邊燈控制模塊由VHDL程序實現(xiàn)后,其仿真圖如下圖4.3所示。對時序仿真圖進行分析:LP,LR,NIGHT,BRAKE 為輸入信號,LP為1表示左轉,LR為1表示右轉,NIGHT為1表示夜間行路,BRAKE為1表示剎車。LEDL,LEDB,LEDN為輸出信號,表示汽車左側的三盞燈。如圖所示:當LP為1時,LEDL輸出為1表示左側燈亮,當BRAKE為1時,LEDB輸出為1表示左側燈亮,當NIGHT為1時,LEDN輸出
20、為1表示左側燈亮。當LR為1時,左側三盞燈輸出均為0。即沒有燈亮。 圖4.3左邊燈控制模塊時序仿真圖 圖4.3左邊燈控制模塊時序仿真圖4.4右邊燈控制模塊仿真及分析 對時序仿真圖進行分析:RP,LR,NIGHT,BRAKE 為輸入信號,LR為1表示左轉,RP為1表示右轉,NIGHT為1表示夜間行路,BRAKE為1表示剎車。LEDR,LEDB,LEDN為輸出信號,表示汽車右側的三盞燈。如圖所示:當RP為1時,LEDR輸出為1表示右側燈亮,當BRAKE為1時,LEDB輸出為1表示右側燈亮,當NIGHT為1時,LEDN輸出為1表示右側燈亮。當LR為1時,右側三盞燈輸出均為0。即沒有燈亮。 右邊燈控制
21、模塊由VHDL程序實現(xiàn)后,其仿真圖如圖4.4所示。 圖4.4 右邊燈控制模塊時序仿真圖4.5整個系統(tǒng)仿真及分析按圖2.3組裝系統(tǒng)后的仿真圖如下圖4.5所示。對時序仿真圖進行分析:RIGHT,LEFT,NIGHT,BRAKE 為輸入信號,RIGHT為1表示右轉,LEFT為1表示左轉,NIGHT為1表示夜間行路,BRAKE為1表示剎車。RD1,RD2,RD3為輸出信號,表示汽車右側的三盞燈。LD1,LD2,LD3為輸出信號,表示汽車左側的三盞燈。如圖所示:當RIGHT為1時,RD1輸出為1表示右側燈亮,當LEFT為1時,LD1為輸出為1表示左側燈亮,當NIGHT為1時,LD2,RD2輸出均為1,表示左,右兩側各有一盞燈亮。當BRAKE為1時,LD3,RD3輸出均為1,表示左,右兩側各有一盞燈亮。圖4.5 整個系統(tǒng)仿真圖4.6
溫馨提示
- 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網頁內容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
- 4. 未經權益所有人同意不得將文件中的內容挪作商業(yè)或盈利用途。
- 5. 人人文庫網僅提供信息存儲空間,僅對用戶上傳內容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內容本身不做任何修改或編輯,并不能對任何下載內容負責。
- 6. 下載文件中如有侵權或不適當內容,請與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。
最新文檔
- 2024年云浮市云城區(qū)招聘教育人才筆試真題
- 2024年南昌職業(yè)大學輔導員考試真題
- 法學概論學習方法與技巧探討試題及答案
- 2024年西安市第一醫(yī)院招聘筆試真題
- 加強行業(yè)分析能力的行動計劃
- 廣東省深圳市羅芳中學2025年八年級數(shù)學第二學期期末教學質量檢測試題含解析
- 明確目標客戶群的識別計劃
- 數(shù)據(jù)可視化技術的應用前景試題及答案
- 賦能團隊領導力提升計劃
- 豐富校園經歷的實習社活動計劃
- 2024年同等學力英語考試真題及詳解
- 會展活動場地布置與搭建技術規(guī)范手冊
- “非遺”之首-昆曲經典藝術欣賞智慧樹知到期末考試答案章節(jié)答案2024年北京大學
- 《藥事管理學》習題庫
- 水文地質技術員技能鑒定理論考試題庫-下(多選、判斷題)
- 2024年高考歷史試卷(浙江)(1月)(解析卷)
- DZ∕T 0054-2014 定向鉆探技術規(guī)程(正式版)
- 草籽播撒勞務合同
- 少先隊員六知六會一做課件
- 心理評估2015課件
- 電機學課后習題答案(辜承林)
評論
0/150
提交評論