FPGA開發(fā)工具使用_第1頁
FPGA開發(fā)工具使用_第2頁
FPGA開發(fā)工具使用_第3頁
FPGA開發(fā)工具使用_第4頁
FPGA開發(fā)工具使用_第5頁
已閱讀5頁,還剩56頁未讀 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認(rèn)領(lǐng)

文檔簡介

1、u fpga開發(fā)工具分類:開發(fā)工具分類:全球提供全球提供fpga開發(fā)工具的廠商有近百家之多,大體分為兩類:一類開發(fā)工具的廠商有近百家之多,大體分為兩類:一類是專業(yè)軟件公司研制的是專業(yè)軟件公司研制的fpga開發(fā)工具,獨立于半導(dǎo)體器件廠商;另一類是半導(dǎo)體器件廠商為開發(fā)工具,獨立于半導(dǎo)體器件廠商;另一類是半導(dǎo)體器件廠商為了開發(fā)本公司產(chǎn)品研制的了開發(fā)本公司產(chǎn)品研制的fpga開發(fā)工具,只能用來開發(fā)本公司的產(chǎn)品。開發(fā)工具,只能用來開發(fā)本公司的產(chǎn)品。u 本章介紹的本章介紹的fpga開發(fā)工具:開發(fā)工具:本章介紹的本章介紹的fpga開發(fā)工具是開發(fā)工具是quartusii,該工具屬于專用,該工具屬于專用fpga開

2、發(fā)工具,開發(fā)工具,quartusii是是altera公司研制的公司研制的fpga開發(fā)工具。開發(fā)工具。u quartusii的版本:的版本:從使用者看來各版本的主要功能基本相同,只是有些操作界面有所不同。從使用者看來各版本的主要功能基本相同,只是有些操作界面有所不同。本章將以本章將以quartusii8.0為例,介紹為例,介紹quartusii8.0基本使用方法?;臼褂梅椒āuartusii8.0提供的功能很多,提供的功能很多,讀者可參考其他書籍或讀者可參考其他書籍或quartusii8.0用戶手冊,學(xué)習(xí)更多的內(nèi)容。用戶手冊,學(xué)習(xí)更多的內(nèi)容。 4.1 quartusii 概述概述 4.2 q

3、uartusii 使用使用 4.3 原理圖文件輸入原理圖文件輸入 4.4 參數(shù)化模塊庫使用參數(shù)化模塊庫使用 4.5 層次化設(shè)計流程層次化設(shè)計流程 4.6 嵌入式邏輯分析儀使用嵌入式邏輯分析儀使用第4章 fpga開發(fā)工具使用quartusii支持哪些支持哪些fpga的開發(fā):的開發(fā):altera公司的各種系列的可編程邏輯器件開發(fā),包括:公司的各種系列的可編程邏輯器件開發(fā),包括:acex系列、系列、apex系列、系列、arm-based excalibur系列、系列、cyclone系列、系列、flex系列、系列、hardcopy stratix系列、系列、max系列、系列、mercury系列和系列和

4、stratix系列等。系列等。quartusii是否有第三方工具的無縫連接:是否有第三方工具的無縫連接: quartusii提供了與第三方開發(fā)工具的無縫連接,支提供了與第三方開發(fā)工具的無縫連接,支持持cadence、mentor、synopsys等專業(yè)軟件公司的綜合工具和校驗工具,能讀入和生成標(biāo)準(zhǔn)的等專業(yè)軟件公司的綜合工具和校驗工具,能讀入和生成標(biāo)準(zhǔn)的edif、vhdl及及verilog hdl網(wǎng)表文件。網(wǎng)表文件。quartusii使用的硬件環(huán)境:使用的硬件環(huán)境:無論使用個人電腦、無論使用個人電腦、nuix或或linux工作站,工作站,quartusii都提供了方便都提供了方便的實體設(shè)計、快速

5、的編譯處理以及編程功能。的實體設(shè)計、快速的編譯處理以及編程功能。4.1 4.1 quartusii概述quartusii管理器窗口:管理器窗口:運行運行quartusii,可以看到,可以看到quartusii的管理器窗口,如圖所示。的管理器窗口,如圖所示。管理器窗口主要包含:管理器窗口主要包含:項目導(dǎo)航窗口、任務(wù)窗口、消息窗口,可以通過項目導(dǎo)航窗口、任務(wù)窗口、消息窗口,可以通過viewutility windows菜菜單下的選項添加或隱藏這些窗口。單下的選項添加或隱藏這些窗口。項目導(dǎo)航窗口項目導(dǎo)航窗口任務(wù)窗口任務(wù)窗口消息窗口消息窗口設(shè)置設(shè)置license.dat文件:文件:為了保證為了保證qu

6、artusii的正常運行,第一次運行軟件,需要設(shè)置的正常運行,第一次運行軟件,需要設(shè)置license.dat文文件,否則工具的許多功能將被禁用。在件,否則工具的許多功能將被禁用。在quartusii管理器窗口選擇管理器窗口選擇toolslicense setup,點擊,點擊license file的的 “.”按鈕,在出現(xiàn)的對話框中選擇按鈕,在出現(xiàn)的對話框中選擇license.dat文件或直接輸入具有完整路徑的文文件或直接輸入具有完整路徑的文件名,如圖所示。件名,如圖所示。4.2 quartusii使用使用使用quartusii開發(fā)工具的主要步驟:開發(fā)工具的主要步驟:進行進行fpga器件的開發(fā)和

7、應(yīng)用,其步驟主要有設(shè)計輸入、器件的開發(fā)和應(yīng)用,其步驟主要有設(shè)計輸入、設(shè)計處理、波形仿真和器件編程等。在設(shè)計的任何階段出現(xiàn)錯誤,都需要進行修改,糾正錯誤,設(shè)計處理、波形仿真和器件編程等。在設(shè)計的任何階段出現(xiàn)錯誤,都需要進行修改,糾正錯誤,重復(fù)上述過程,直至每個階段都正確為止。重復(fù)上述過程,直至每個階段都正確為止。使用使用quartusii開發(fā)工具的舉例:開發(fā)工具的舉例:下面將以一個下面將以一個6位二進制計數(shù)器位二進制計數(shù)器myexam1.vhd的設(shè)計為例,介的設(shè)計為例,介紹紹quartusii的使用流程,介紹如何經(jīng)過設(shè)計各個階段,最終將的使用流程,介紹如何經(jīng)過設(shè)計各個階段,最終將myexam1.

8、vhd設(shè)計下載到設(shè)計下載到fpga芯片,使一片空白的芯片,使一片空白的fpga裸片變?yōu)橐黄闫優(yōu)橐黄?位二進制計數(shù)器芯片的完整過程。位二進制計數(shù)器芯片的完整過程。設(shè)計輸入設(shè)計輸入設(shè)計處理設(shè)計處理波形仿真波形仿真器件編程器件編程fpga裸片裸片6位二進制計數(shù)器位二進制計數(shù)器建立文件夾:建立文件夾:quartusii 編輯器的工作對象是項目,項目用來管理所有設(shè)計文件以及編輯設(shè)計編輯器的工作對象是項目,項目用來管理所有設(shè)計文件以及編輯設(shè)計文件過程中產(chǎn)生的中間文檔,建議讀者在開始設(shè)計之前先建立一個文件夾,方便項目的管理。文件過程中產(chǎn)生的中間文檔,建議讀者在開始設(shè)計之前先建立一個文件夾,方便項目的管理

9、。設(shè)計文件的種類:設(shè)計文件的種類:在一個項目下,可以有多個設(shè)計文件,這些設(shè)計文件的格式可以是原理圖文在一個項目下,可以有多個設(shè)計文件,這些設(shè)計文件的格式可以是原理圖文件、文本文件(如件、文本文件(如ahdl、vhdl、verilog hdl等文件)、符號文件、底層輸入文件;第三方等文件)、符號文件、底層輸入文件;第三方eda工具提供的多種文件格式,如工具提供的多種文件格式,如edif、hdl、vqm等。等。以文本文件為例:以文本文件為例:學(xué)習(xí)設(shè)計輸入過程中的主要操作。學(xué)習(xí)設(shè)計輸入過程中的主要操作。4.2.1 設(shè)計輸入設(shè)計輸入1. 1. 建立設(shè)計項目建立設(shè)計項目項目路徑項目路徑項目名稱項目名稱頂

10、層實體名頂層實體名 (1)在管理器窗口中選擇菜單)在管理器窗口中選擇菜單file/new project wizard.,出現(xiàn)新建項目向?qū)?,出現(xiàn)新建項目向?qū)ew project wizard對話框的第一頁,對話框的第一頁,輸入項目路徑、項目名稱和頂層實體名,如輸入項目路徑、項目名稱和頂層實體名,如myexam。(2)新建項目向?qū)У诙?,)新建項目向?qū)У诙?,點擊按鈕點擊按鈕“.”可瀏覽文件選項,添加或刪除與該項目有關(guān)的文件??蔀g覽文件選項,添加或刪除與該項目有關(guān)的文件。初學(xué)者還沒有建立文件,可以先跳過該頁。初學(xué)者還沒有建立文件,可以先跳過該頁。(3)新建項目向?qū)У谌?,)新建項目向?qū)У谌摚?/p>

11、根據(jù)器件的封裝形式、引腳數(shù)目和速度級別,選擇目標(biāo)器件。讀者可根據(jù)器件的封裝形式、引腳數(shù)目和速度級別,選擇目標(biāo)器件。讀者可以根據(jù)具備的實驗條件進行選擇,這里選擇的芯片是以根據(jù)具備的實驗條件進行選擇,這里選擇的芯片是cyclone系列中系列中ep1c6q240c8芯片。芯片。當(dāng)前項目當(dāng)前項目(4)新建項目向?qū)У谒捻?,)新建項目向?qū)У谒捻?,添加第三方添加第三方eda綜合、仿真、定時等分析工具,系統(tǒng)默認(rèn)選擇綜合、仿真、定時等分析工具,系統(tǒng)默認(rèn)選擇quartusii的分析工具,對開發(fā)工具不熟悉的讀者,建議采用系統(tǒng)默認(rèn)選項。的分析工具,對開發(fā)工具不熟悉的讀者,建議采用系統(tǒng)默認(rèn)選項。(5)新建項目向?qū)υ捒?/p>

12、的最后一頁,)新建項目向?qū)υ捒虻淖詈笠豁?,給出前面輸入內(nèi)容的總覽。點擊給出前面輸入內(nèi)容的總覽。點擊finish按鈕,按鈕,myexam項目項目出現(xiàn)在項目導(dǎo)航窗口,出現(xiàn)在項目導(dǎo)航窗口,myexam表示頂層實體文件,如圖所示。在任務(wù)窗口出現(xiàn)設(shè)計項目過程中的表示頂層實體文件,如圖所示。在任務(wù)窗口出現(xiàn)設(shè)計項目過程中的全部操作,執(zhí)行操作命令的方法可以在菜單欄下選擇命令、點擊工具欄中對應(yīng)的工具按鈕或者在任全部操作,執(zhí)行操作命令的方法可以在菜單欄下選擇命令、點擊工具欄中對應(yīng)的工具按鈕或者在任務(wù)窗口雙擊命令。務(wù)窗口雙擊命令。任務(wù)窗口任務(wù)窗口2. 輸入文本文件輸入文本文件使用文本編輯器模板:使用文本編輯器模板

13、:quartusii支持支持ahdl、vhdl、及、及verilog hdl等硬件描述語言描述的文等硬件描述語言描述的文本文件,關(guān)于如何用本文件,關(guān)于如何用vhdl描述硬件電路請參考第描述硬件電路請參考第5章和第章和第6章。這里將結(jié)合實例說明如何使用文章。這里將結(jié)合實例說明如何使用文本編輯器模板輸入本編輯器模板輸入vhdl文本文件。文本文件。新建新建vhdl文本文件:文本文件:在在quartusii管理器界面中選擇菜單管理器界面中選擇菜單filenew.,或單擊新建文件按鈕,出,或單擊新建文件按鈕,出現(xiàn)現(xiàn)new對話框,如圖所示。在對話框?qū)υ捒?,如圖所示。在對話框design files中選擇中

14、選擇vhdl file ,點擊,點擊ok按鈕,打開文本編輯按鈕,打開文本編輯器。在文本編輯器窗口下,按照器。在文本編輯器窗口下,按照vhdl語言規(guī)則輸入設(shè)計文件,并將其保存,語言規(guī)則輸入設(shè)計文件,并將其保存,vhdl文件的擴展文件的擴展名為名為.vhd。文件擴展名:文件擴展名:quartusii支持多種硬件描述語言,不同的硬件描述語言編寫的文件擴展名不同,如支持多種硬件描述語言,不同的硬件描述語言編寫的文件擴展名不同,如ahdl文件擴展名為文件擴展名為.tdf,verilog hdl文件擴展名為文件擴展名為.v,vhdl文件的擴展名為文件的擴展名為.vhd。quatusii提供的文本文件編輯模

15、板:提供的文本文件編輯模板:使用模板可快速準(zhǔn)確地創(chuàng)建使用模板可快速準(zhǔn)確地創(chuàng)建vhdl文本文件,避免語法錯誤,文本文件,避免語法錯誤,提高編輯效率。例如:用提高編輯效率。例如:用vhdl模板設(shè)計一個模板設(shè)計一個6位二進制計數(shù)器的位二進制計數(shù)器的vhdl文本文件。文本文件。(1)選擇菜單)選擇菜單editinsert template, 打開打開insert template對話框,點擊右側(cè)對話框,點擊右側(cè)languagetemplate欄目打開欄目打開vhdl,vhdl欄目下顯示出所有欄目下顯示出所有vhdl的程序模板,如圖所示。的程序模板,如圖所示。(1)打開模板:)打開模板:選擇菜單選擇菜單

16、editinsert template, 打開打開insert template對話框,點擊右側(cè)對話框,點擊右側(cè)languagetemplate欄目打開欄目打開vhdl,vhdl欄目下顯示出所有欄目下顯示出所有vhdl的程序模板。的程序模板。 (2)選擇模板:)選擇模板:在在vhdl模板中選擇模板中選擇full designarithmeticcoutersbinary counter,insert template對話框的右側(cè)會出現(xiàn)計數(shù)器模板程序的預(yù)覽。這是一個帶清零和使能端的計數(shù)器模板。對話框的右側(cè)會出現(xiàn)計數(shù)器模板程序的預(yù)覽。這是一個帶清零和使能端的計數(shù)器模板。點擊點擊insert,模板程

17、序出現(xiàn)在文本編輯器中,其中蘭色的字母是關(guān)鍵字,綠色部分為注釋語句。,模板程序出現(xiàn)在文本編輯器中,其中蘭色的字母是關(guān)鍵字,綠色部分為注釋語句。(3)修改模板:)修改模板:根據(jù)設(shè)計要求,對模板中的文件名、信號名、變量名等黑色文字內(nèi)容進行修改。根據(jù)設(shè)計要求,對模板中的文件名、信號名、變量名等黑色文字內(nèi)容進行修改。將實體名將實體名binary_counter修改為修改為myexam1;將程序中變量表示改為常數(shù)形式;刪掉;將程序中變量表示改為常數(shù)形式;刪掉enable輸入信號輸入信號等。等。 修改后的修改后的vhdl代碼如下:代碼如下: - quartus ii vhdl template- binar

18、y counterlibrary ieee;use ieee.std_logic_1164.all;use ieee.numeric_std.all;entity myexam1 is-實體名為實體名為myexam1port(clk : in std_logic;-時鐘信號時鐘信號clk定義定義reset : in std_logic;-復(fù)位信號復(fù)位信號reset定義定義q : out integer range 0 to 63);-輸出信號輸出信號q定義定義end entity;architecture rtl of myexam1 isbeginprocess (clk)variable

19、cnt: integer range 0 to 63;beginif (rising_edge(clk) then-時鐘時鐘clk上升沿上升沿if reset = 1 then-復(fù)位復(fù)位reset為高電平為高電平cnt := 0;-計數(shù)器復(fù)位計數(shù)器復(fù)位elsecnt := cnt + 1;-計數(shù)器工作計數(shù)器工作end if;end if;q = cnt;-輸出當(dāng)前的計數(shù)值輸出當(dāng)前的計數(shù)值end process;end rtl;myexam1.vhd輸入完成!輸入完成!3. 添加或刪除與當(dāng)前項目有關(guān)的文件添加或刪除與當(dāng)前項目有關(guān)的文件添加文件:添加文件:如果希望將存放在別處的文件加入到當(dāng)前的設(shè)計

20、項目中,選擇菜單如果希望將存放在別處的文件加入到當(dāng)前的設(shè)計項目中,選擇菜單assignmentssettings,打開如圖所示的,打開如圖所示的settings對話框。在對話框。在settings對話框左側(cè)的對話框左側(cè)的cagegory欄目下選擇欄目下選擇files項,通過右邊項,通過右邊f(xié)ile name欄的欄的“”按鈕查找文件選項,點擊按鈕查找文件選項,點擊add按鈕添加文件。按鈕添加文件。add all按鈕的作用是將當(dāng)前目錄下的所有文件添加到項目中。按鈕的作用是將當(dāng)前目錄下的所有文件添加到項目中。刪除文件:刪除文件:如果希望將當(dāng)前項目中的文件從項目中刪除,首先選中待刪除文件,如果希望將當(dāng)

21、前項目中的文件從項目中刪除,首先選中待刪除文件,remove按鈕按鈕則被激活,點擊則被激活,點擊remove按鈕即可。按鈕即可。其它功能設(shè)置:其它功能設(shè)置:在在settings對話框下,除了可以進行設(shè)計項目的文件設(shè)置外,還可以進行與設(shè)對話框下,除了可以進行設(shè)計項目的文件設(shè)置外,還可以進行與設(shè)計有關(guān)的各種其它功能設(shè)置,如:庫計有關(guān)的各種其它功能設(shè)置,如:庫libraries、器件、器件device、eda工具工具eda tool、編譯、編譯compilation、分析與綜合、分析與綜合analysis & synthesis、定時分析、定時分析time analysis、仿真、仿真sim

22、ulator、配、配置置 fitting等設(shè)置。等設(shè)置。4. 指定目標(biāo)器件指定目標(biāo)器件指定器件:指定器件:如果在建立項目時,沒有指定目標(biāo)器件,可以在如果在建立項目時,沒有指定目標(biāo)器件,可以在settings對話框?qū)υ捒騝agegory欄目下選擇欄目下選擇device項,出現(xiàn)如圖所示界面,指定設(shè)計項目使用的目標(biāo)器件。項,出現(xiàn)如圖所示界面,指定設(shè)計項目使用的目標(biāo)器件。選擇系列:選擇系列:在在family下拉列表中選擇器件系列;下拉列表中選擇器件系列;選擇封裝等:選擇封裝等:在在show in available devices list中選擇封裝形式、引腳數(shù)和速度級別;中選擇封裝形式、引腳數(shù)和速度

23、級別;選擇目標(biāo)器件:選擇目標(biāo)器件:在在available devices中選擇目標(biāo)器件;中選擇目標(biāo)器件;引腳選項等:引腳選項等:點擊點擊device&pin options按鈕,出現(xiàn)器件和引腳選項對話框,根據(jù)設(shè)計需要進行配按鈕,出現(xiàn)器件和引腳選項對話框,根據(jù)設(shè)計需要進行配置、編程文件、不用引腳、雙用途引腳以及引腳電壓等選項的詳細(xì)設(shè)置。置、編程文件、不用引腳、雙用途引腳以及引腳電壓等選項的詳細(xì)設(shè)置。4.2.2 4.2.2 設(shè)計處理設(shè)計處理設(shè)計處理的功能:設(shè)計處理的功能:quartusii設(shè)計處理的功能包括設(shè)計錯誤檢查、邏輯綜合、器件配置以及產(chǎn)生設(shè)計處理的功能包括設(shè)計錯誤檢查、邏輯綜合、器

24、件配置以及產(chǎn)生下載編程文件等,也稱作編譯下載編程文件等,也稱作編譯compilation。編譯后生成的編程文件可以用。編譯后生成的編程文件可以用quartusii編程器或其編程器或其它工業(yè)標(biāo)準(zhǔn)的編程器對器件進行編程或配置。它工業(yè)標(biāo)準(zhǔn)的編程器對器件進行編程或配置。如何執(zhí)行設(shè)計處理:如何執(zhí)行設(shè)計處理:編輯設(shè)計文件后,可以直接執(zhí)行編譯編輯設(shè)計文件后,可以直接執(zhí)行編譯compilation操作,對設(shè)計進行全面的操作,對設(shè)計進行全面的設(shè)計處理。也可以分步驟執(zhí)行,首先進行分析和綜合處理設(shè)計處理。也可以分步驟執(zhí)行,首先進行分析和綜合處理analysis & synthesis,檢查設(shè)計文件,檢查設(shè)計

25、文件有無錯誤,基本分析正確后,再進行項目的完整編譯有無錯誤,基本分析正確后,再進行項目的完整編譯compilation。1. 設(shè)置編譯器設(shè)置編譯器初學(xué)者可以跳過:初學(xué)者可以跳過:初學(xué)者選擇系統(tǒng)默認(rèn)的設(shè)置,可以跳過編譯器設(shè)置。初學(xué)者選擇系統(tǒng)默認(rèn)的設(shè)置,可以跳過編譯器設(shè)置。如果需要設(shè)置:如果需要設(shè)置:選擇菜單選擇菜單assignmentssettings,在,在settings對話框?qū)υ捒騝ategory欄目下選擇欄目下選擇compilation process settings項,可以設(shè)置與編譯相關(guān)的內(nèi)容。項,可以設(shè)置與編譯相關(guān)的內(nèi)容。2. 執(zhí)行編譯執(zhí)行編譯編譯說明:編譯說明:如果一個項目中有多

26、個文件,只要對其中一個文件進行編譯處理,需要將該文件設(shè)如果一個項目中有多個文件,只要對其中一個文件進行編譯處理,需要將該文件設(shè)置成頂層文件。置成頂層文件。設(shè)置頂層文件:設(shè)置頂層文件:首先打開準(zhǔn)備編譯的文件,例如,打開前面編輯的文件首先打開準(zhǔn)備編譯的文件,例如,打開前面編輯的文件myexam1.vhd,執(zhí)行菜,執(zhí)行菜單命令單命令project/set as top-level entity,即可。,即可。執(zhí)行編譯:執(zhí)行編譯:選擇菜單選擇菜單processingstart compilation或直接點擊工具欄中編譯按鈕,開始執(zhí)行編譯或直接點擊工具欄中編譯按鈕,開始執(zhí)行編譯操作,對設(shè)計文件全面檢查

27、。編譯結(jié)束后,出現(xiàn)如圖所示的界面并給出編譯后信息。操作,對設(shè)計文件全面檢查。編譯結(jié)束后,出現(xiàn)如圖所示的界面并給出編譯后信息。1.1.編譯快捷按鈕編譯快捷按鈕5.5.編譯總結(jié)報告編譯總結(jié)報告4.4.編譯報告欄編譯報告欄2.2.任務(wù)窗口任務(wù)窗口3.3.信息窗口信息窗口3. 鎖定引腳鎖定引腳什么是鎖定引腳:什么是鎖定引腳:將設(shè)計文件的輸入輸出信號分配到器件指定引腳,這是設(shè)計文件下載到將設(shè)計文件的輸入輸出信號分配到器件指定引腳,這是設(shè)計文件下載到fpga芯片必須完成的過程。芯片必須完成的過程。需要注意:需要注意:在鎖定引腳完成之后,必須再次進行編譯。在鎖定引腳完成之后,必須再次進行編譯。如何鎖定引腳:

28、如何鎖定引腳:選擇菜單選擇菜單assignmentspins,出現(xiàn),出現(xiàn)assignment editor對話框如圖所示。由于設(shè)計對話框如圖所示。由于設(shè)計項目已經(jīng)進行過編譯,因此在節(jié)點列表區(qū)會自動列出所有信號的名稱,在需要鎖定的節(jié)點名處,項目已經(jīng)進行過編譯,因此在節(jié)點列表區(qū)會自動列出所有信號的名稱,在需要鎖定的節(jié)點名處,雙擊引腳鎖定區(qū)雙擊引腳鎖定區(qū)location,在列出的引腳號中進行選擇。例如,選擇,在列出的引腳號中進行選擇。例如,選擇clk節(jié)點信號,鎖定在節(jié)點信號,鎖定在28號引號引腳上。重復(fù)此過程,逐個進行引腳鎖定,所有引腳鎖定完成后,再次編譯,執(zhí)行前面腳上。重復(fù)此過程,逐個進行引腳鎖定

29、,所有引腳鎖定完成后,再次編譯,執(zhí)行前面2操作。操作。1.1.節(jié)點信號名稱節(jié)點信號名稱2.2.鎖定引腳號鎖定引腳號myexam1.vhd引腳鎖定成功!引腳鎖定成功!4. 定時分析報告定時分析報告編譯正確后,在編譯報告欄選擇編譯正確后,在編譯報告欄選擇timing analyses可查看詳細(xì)定時分析信息??刹榭丛敿?xì)定時分析信息。定時分析報告主要內(nèi)容:定時分析報告主要內(nèi)容:整個系統(tǒng)的最高時鐘頻率整個系統(tǒng)的最高時鐘頻率fmax、建立時間、建立時間tsu、保持時間、保持時間th、時鐘、時鐘到輸出時間到輸出時間tco等。等。會看定時分會看定時分析報告!析報告!4.2.3 4.2.3 波形仿真波形仿真何為

30、波形仿真:何為波形仿真:是在波形編輯器中將設(shè)計的邏輯功能用波形圖的形式顯示,通過查看波形圖,是在波形編輯器中將設(shè)計的邏輯功能用波形圖的形式顯示,通過查看波形圖,檢查設(shè)計的邏輯功能是否符合設(shè)計要求。檢查設(shè)計的邏輯功能是否符合設(shè)計要求。波形仿真的目的:波形仿真的目的:設(shè)計文件描述的邏輯功能是否能實現(xiàn)預(yù)期的目標(biāo),需要通過波形仿真進一步設(shè)計文件描述的邏輯功能是否能實現(xiàn)預(yù)期的目標(biāo),需要通過波形仿真進一步檢驗。波形仿真分析是驗證邏輯功能正確性必不可少的環(huán)節(jié)。檢驗。波形仿真分析是驗證邏輯功能正確性必不可少的環(huán)節(jié)。波形仿真的步驟:波形仿真的步驟:新建波形文件、設(shè)置波形仿真器、插入仿真節(jié)點、編輯輸入波形、運行仿

31、真新建波形文件、設(shè)置波形仿真器、插入仿真節(jié)點、編輯輸入波形、運行仿真器、檢查輸出波形是否符合設(shè)計要求。器、檢查輸出波形是否符合設(shè)計要求。1. 新建波形文件新建波形文件選擇菜單選擇菜單filenew.,在,在new對話框中選擇對話框中選擇verficationdebugging filesvector waveform file,在,在出現(xiàn)的波形編輯窗口,顯示一個空的波形文件,將文件保存為出現(xiàn)的波形編輯窗口,顯示一個空的波形文件,將文件保存為myexam1.vwf,該文件與先前編,該文件與先前編輯的文件輯的文件myexam1.vhd同名,只是后綴不同。同名,只是后綴不同。2. 設(shè)置仿真器設(shè)置仿真

32、器仿真器設(shè)置:仿真器設(shè)置:鼠標(biāo)右鍵單擊項目名選擇鼠標(biāo)右鍵單擊項目名選擇settings或直接選擇菜單命令或直接選擇菜單命令assignmentssettings,在,在settings對話框的對話框的category欄目下選擇欄目下選擇simulator settings項,在右側(cè)出現(xiàn)的對話框中設(shè)置仿真器。項,在右側(cè)出現(xiàn)的對話框中設(shè)置仿真器。可以進行仿真模式、仿真文件、仿真周期等設(shè)置??梢赃M行仿真模式、仿真文件、仿真周期等設(shè)置。仿真模式設(shè)置:仿真模式設(shè)置:有時序仿真有時序仿真timing、功能仿真、功能仿真functional和快速仿真和快速仿真timing using fast timing

33、 model等三種仿真模式。時序仿真又稱后仿真,是考慮器件各種延時情況下進行的仿真。功能仿真等三種仿真模式。時序仿真又稱后仿真,是考慮器件各種延時情況下進行的仿真。功能仿真又稱前仿真,功能仿真不考慮器件延時,理想情況下的邏輯驗證。一般選擇時序仿真。又稱前仿真,功能仿真不考慮器件延時,理想情況下的邏輯驗證。一般選擇時序仿真。仿真文件設(shè)置:仿真文件設(shè)置:在仿真器設(shè)置窗口的仿真輸入選項在仿真器設(shè)置窗口的仿真輸入選項simulator input欄目下,可以看到仿真文件欄目下,可以看到仿真文件myexam1.vwf。如果沒有,查找該文件,將。如果沒有,查找該文件,將myexam1.vwf列在列在sim

34、ulator input欄目下。欄目下。仿真器設(shè)置仿真器設(shè)置功能仿真功能仿真仿真模式選項仿真模式選項3. 插入仿真節(jié)點插入仿真節(jié)點在波形編輯窗口的在波形編輯窗口的name欄目下,點擊鼠標(biāo)右鍵,選擇欄目下,點擊鼠標(biāo)右鍵,選擇insertinsert node or bus.,出現(xiàn),出現(xiàn)insert node or bus對話框如圖所示,點擊對話框如圖所示,點擊node finder按鈕,查找節(jié)點信息,插入節(jié)點。按鈕,查找節(jié)點信息,插入節(jié)點。插入節(jié)點的過程:插入節(jié)點的過程:如圖所示。首先選擇合理的篩選如圖所示。首先選擇合理的篩選filter范圍,這里給定的是范圍,這里給定的是pins: all,單

35、擊,單擊list,列出所選節(jié)點信號;接著在,列出所選節(jié)點信號;接著在nodes found欄目下,選擇波形仿真需要觀察的節(jié)點信號;然欄目下,選擇波形仿真需要觀察的節(jié)點信號;然后單擊送入選中按鈕,在后單擊送入選中按鈕,在selected nodes欄目下,就會列出選中節(jié)點。全部節(jié)點選擇完成后,按欄目下,就會列出選中節(jié)點。全部節(jié)點選擇完成后,按ok確認(rèn),所有選中的節(jié)點信號就會出現(xiàn)在波形仿真的窗口中。確認(rèn),所有選中的節(jié)點信號就會出現(xiàn)在波形仿真的窗口中。3.選擇節(jié)點選擇節(jié)點2.列出所選節(jié)點列出所選節(jié)點4.送入選中按鈕送入選中按鈕6.確認(rèn)返回確認(rèn)返回1.節(jié)點篩選范圍節(jié)點篩選范圍5.選中節(jié)點區(qū)選中節(jié)點區(qū)4

36、. 編輯輸入波形編輯輸入波形編輯最大仿真時間:編輯最大仿真時間:選擇菜單選擇菜單editend time.,根據(jù)需要修改最大仿真時間,如,根據(jù)需要修改最大仿真時間,如2s。編輯網(wǎng)格時間:編輯網(wǎng)格時間:選擇菜單選擇菜單editgrid size.,根據(jù)需要修改網(wǎng)格大小,如,根據(jù)需要修改網(wǎng)格大小,如50ns,通常用網(wǎng)格大小表,通常用網(wǎng)格大小表示信號狀態(tài)的基本維持時間。示信號狀態(tài)的基本維持時間。編輯輸入激勵信號的波形:編輯輸入激勵信號的波形:先選中一個輸入節(jié)點,如選中時鐘信號先選中一個輸入節(jié)點,如選中時鐘信號clk,然后單擊左側(cè)賦值快捷,然后單擊左側(cè)賦值快捷鍵中的時鐘鍵,實現(xiàn)鍵中的時鐘鍵,實現(xiàn)clk

37、信號的時鐘輸入。也可以拖動鼠標(biāo),選定信號的某個時間段進行賦值,信號的時鐘輸入。也可以拖動鼠標(biāo),選定信號的某個時間段進行賦值,對對reset的賦值就是采用這種方式。輸入信號編輯完成后,保存該文件。的賦值就是采用這種方式。輸入信號編輯完成后,保存該文件。根據(jù)設(shè)計文件根據(jù)設(shè)計文件myexam1.vhd編輯:編輯:計數(shù)器功能需要編輯兩個激勵信號計數(shù)器功能需要編輯兩個激勵信號clk和和reset,clk加入時鐘信加入時鐘信號;號;reset設(shè)置為開始階段高電平,使計數(shù)器清零,接著為低電平,使計數(shù)器工作。設(shè)置為開始階段高電平,使計數(shù)器清零,接著為低電平,使計數(shù)器工作。3輸入波形輸入波形2仿真快捷按鈕仿真快

38、捷按鈕1賦值快捷鍵賦值快捷鍵5. 運行仿真器運行仿真器運行仿真器:運行仿真器:選擇菜單選擇菜單processingstart simulation或點擊仿真快捷按鈕運行仿真器,仿真波形窗或點擊仿真快捷按鈕運行仿真器,仿真波形窗口將給出對應(yīng)輸入波形的輸出波形,最下方的信息窗口顯示仿真操作的相關(guān)信息??趯⒔o出對應(yīng)輸入波形的輸出波形,最下方的信息窗口顯示仿真操作的相關(guān)信息。如果仿真波形與邏輯設(shè)計不符:如果仿真波形與邏輯設(shè)計不符:檢查設(shè)計文件檢查設(shè)計文件myexam1.vhd對計數(shù)器的功能描述是否正確,如果對計數(shù)器的功能描述是否正確,如果修改設(shè)計文件修改設(shè)計文件myexam1.vhd,需要重新編譯,再

39、次進行波形仿真,直至達到設(shè)計要求。,需要重新編譯,再次進行波形仿真,直至達到設(shè)計要求。myexam1.vhd文件的仿真波形如圖所示:文件的仿真波形如圖所示:從仿真波形可以看出,這是一個帶有高有效復(fù)位端從仿真波形可以看出,這是一個帶有高有效復(fù)位端reset、上升沿觸發(fā)的上升沿觸發(fā)的6位二進制加法計數(shù)器,與位二進制加法計數(shù)器,與myexam1.vhd文件描述的邏輯功能一致。文件描述的邏輯功能一致。myexam1.vhd仿真成功!仿真成功!4.2.4 4.2.4 器件編程器件編程何為器件編程:何為器件編程:編譯和波形仿真正確后,編譯和波形仿真正確后,quartusii將生成編程數(shù)據(jù)文件,如將生成編程

40、數(shù)據(jù)文件,如.pof和和.sof等編程數(shù)等編程數(shù)據(jù)文件,通過下載電纜將編程文件下載到預(yù)先選擇的據(jù)文件,通過下載電纜將編程文件下載到預(yù)先選擇的fpga芯片中。下載成功后,該芯片中。下載成功后,該fpga芯片芯片就會執(zhí)行設(shè)計文件描述的功能。就會執(zhí)行設(shè)計文件描述的功能。器件編程步驟:器件編程步驟:編程硬件連接、編程文件的產(chǎn)生、運行編程操作。器件編程操作成功后,查看編程硬件連接、編程文件的產(chǎn)生、運行編程操作。器件編程操作成功后,查看fpga功能與設(shè)計文件描述的功能是否一致。功能與設(shè)計文件描述的功能是否一致。1. 編程硬件連接編程硬件連接下載電纜的連接:下載電纜的連接:在進行編程操作之前,首先將下載電纜

41、的一端與在進行編程操作之前,首先將下載電纜的一端與pc機對應(yīng)的端口進行相連,機對應(yīng)的端口進行相連,下載電纜的另一端與編程器件相連,下載電纜連接好后才能進行編程器的操作。下載電纜的另一端與編程器件相連,下載電纜連接好后才能進行編程器的操作。與與pc機的哪個端口連接:機的哪個端口連接:編程電纜不同,與編程電纜不同,與pc機連接的端口就不同。機連接的端口就不同。 使用使用masterblaster下載電纜編程,將下載電纜編程,將masterblaster電纜連接到電纜連接到pc機的機的rs-232串口。串口。 使用使用byteblastermv下載電纜,將下載電纜,將byteblastermv電纜連

42、接到電纜連接到pc機的并口。機的并口。 使用使用usb blaster下載電纜,將下載電纜,將usb blaster電纜連接到電纜連接到pc機的機的usb口???。2. 編程操作編程操作選擇菜單選擇菜單toolsprogrammer或點擊工具欄中編程快捷按鈕,打開編程窗口如圖所示。讀者需要或點擊工具欄中編程快捷按鈕,打開編程窗口如圖所示。讀者需要根據(jù)自己的實驗設(shè)備情況,進行器件編程的設(shè)置。根據(jù)自己的實驗設(shè)備情況,進行器件編程的設(shè)置。3 3添加配置文件添加配置文件4 4編程按鈕編程按鈕1 1選擇下載電纜選擇下載電纜0 0編程按鈕編程按鈕2 2選擇配置模式選擇配置模式5 5進度表顯示進度表顯示下載進

43、程下載進程作者根據(jù)自己的實驗設(shè)備,進行設(shè)置的情況如下:作者根據(jù)自己的實驗設(shè)備,進行設(shè)置的情況如下:(1)下載電纜)下載電纜hardware setup設(shè)置:設(shè)置:usb blaster。(2)配置模式)配置模式mode設(shè)置:設(shè)置:jtag模式。模式。(3)配置文件:)配置文件:自動給出當(dāng)前項目的配置文件自動給出當(dāng)前項目的配置文件myexam1.sof。如果需要自己添加配置文件,則。如果需要自己添加配置文件,則單擊單擊add file添加配置文件。添加配置文件。(4)執(zhí)行編程操作:)執(zhí)行編程操作:單擊編程按鈕單擊編程按鈕start,開始對器件進行編程。編程過程中進度表顯示下載進,開始對器件進行編

44、程。編程過程中進度表顯示下載進程,信息窗口顯示下載過程中的警告和錯誤信息。程,信息窗口顯示下載過程中的警告和錯誤信息。(5)實際檢驗:)實際檢驗:器件編程結(jié)束后,在實驗設(shè)備上實際查看器件編程結(jié)束后,在實驗設(shè)備上實際查看fpga芯片作為計數(shù)器的工作情況,芯片作為計數(shù)器的工作情況,可以加入可以加入1khz的時鐘信號,用示波器觀察各輸出引腳波形?;蛘呓o計數(shù)器加入頻率為的時鐘信號,用示波器觀察各輸出引腳波形?;蛘呓o計數(shù)器加入頻率為1hz的時的時鐘信號,輸出引腳連接發(fā)光二極管,觀察輸出數(shù)據(jù)的變化。如果計數(shù)器輸出工作正常,說明讀鐘信號,輸出引腳連接發(fā)光二極管,觀察輸出數(shù)據(jù)的變化。如果計數(shù)器輸出工作正常,說

45、明讀者已經(jīng)基本學(xué)會了者已經(jīng)基本學(xué)會了fpga的開發(fā)流程以及的開發(fā)流程以及quartusii的使用。的使用。myexam1.vhd配置成功!配置成功!3. 其它編程文件的產(chǎn)生其它編程文件的產(chǎn)生quartusii在編譯過程中會自動產(chǎn)生編程文件,如在編譯過程中會自動產(chǎn)生編程文件,如.pof和和.sof文件。但對于其它格式的文件,如文件。但對于其它格式的文件,如二進制格式的二進制格式的.rbf配置數(shù)據(jù)文件,需要專門進行設(shè)置才能產(chǎn)生。配置數(shù)據(jù)文件,需要專門進行設(shè)置才能產(chǎn)生。產(chǎn)生產(chǎn)生.rbf文件過程如下:文件過程如下:選擇菜單選擇菜單fileconvertprogramm files,出現(xiàn)對話框。首先,在

46、對話,出現(xiàn)對話框。首先,在對話框的框的output promramming file列表中選擇列表中選擇raw binary (.rbf)。然后,點擊。然后,點擊input files to convert欄中的欄中的sof data,此時,此時add file按鈕被激活,點擊按鈕被激活,點擊add file按鈕,添加輸入數(shù)據(jù)文件按鈕,添加輸入數(shù)據(jù)文件myexam1.sof,點擊,點擊ok即可產(chǎn)生即可產(chǎn)生.rbf文件。查找設(shè)計項目目錄,可以找到文件。查找設(shè)計項目目錄,可以找到myexam1.rbf文件。文件。添加添加.sof.sof文件文件4.3 原理圖文件輸入原理圖文件:原理圖文件:原理圖是

47、設(shè)計人員最為熟悉的電路描述方法,原理圖是設(shè)計人員最為熟悉的電路描述方法,quartusii提供了圖形編輯器,通過提供了圖形編輯器,通過圖形編輯器可以編輯圖形和圖表模塊,畫出熟悉的原理圖,產(chǎn)生原理圖文件(圖形編輯器可以編輯圖形和圖表模塊,畫出熟悉的原理圖,產(chǎn)生原理圖文件(.bdf)。)。原理圖文件處理:原理圖文件處理:原理圖文件產(chǎn)生后,仍然需要進行設(shè)計處理、波形仿真、器件編程,這些操原理圖文件產(chǎn)生后,仍然需要進行設(shè)計處理、波形仿真、器件編程,這些操作與前面介紹的過程基本相同,這里不再重復(fù)介紹。作與前面介紹的過程基本相同,這里不再重復(fù)介紹。符號庫:符號庫:為簡化原理圖的設(shè)計過程,為簡化原理圖的設(shè)計

48、過程,quartusii建立了常用的符號庫,在庫中提供了各種邏輯功建立了常用的符號庫,在庫中提供了各種邏輯功能的符號,包括宏功能(能的符號,包括宏功能(macrofunction)符號和圖元()符號和圖元(primitive)等,供設(shè)計人員直接調(diào)用。)等,供設(shè)計人員直接調(diào)用。編輯原理圖文件的步驟:編輯原理圖文件的步驟:建立原理圖文件、輸入符號、連接符號等。建立原理圖文件、輸入符號、連接符號等。1. 建立原理圖文件建立原理圖文件選擇菜單選擇菜單filenew,在出現(xiàn)的對話框中選擇,在出現(xiàn)的對話框中選擇design filesblock diagramschematic file,則打,則打開圖形

49、編輯器,出現(xiàn)空白的原理圖文件,如圖所示。選擇菜單開圖形編輯器,出現(xiàn)空白的原理圖文件,如圖所示。選擇菜單filesave as,輸入文件名,保,輸入文件名,保存該文件。存該文件。2. 使用模塊符號庫使用模塊符號庫圖形編輯器的左側(cè)是輸入按鈕,最常用的是模塊符號按鈕,單擊模塊符號按鈕,打開圖形編輯器的左側(cè)是輸入按鈕,最常用的是模塊符號按鈕,單擊模塊符號按鈕,打開symbol對話對話框,如圖所示。在對話框下,可以選擇各種邏輯電路符號,方便繪制原理圖時使用。框,如圖所示。在對話框下,可以選擇各種邏輯電路符號,方便繪制原理圖時使用。quartusii已經(jīng)預(yù)先存放了設(shè)計中常用的電路模塊符號,在進行原理圖設(shè)計

50、輸入時可以隨時調(diào)用。已經(jīng)預(yù)先存放了設(shè)計中常用的電路模塊符號,在進行原理圖設(shè)計輸入時可以隨時調(diào)用。quartusii在安裝目錄在安裝目錄c:altera/80/quartus/libraries/下,設(shè)有三個子目錄分別存放了三個庫。下,設(shè)有三個子目錄分別存放了三個庫。 直角節(jié)點直角節(jié)點直角總線直角總線直角管道直角管道圖表模塊圖表模塊模塊符號模塊符號(1)megafunctions(宏功能模塊)(宏功能模塊)宏功能模塊是參數(shù)化的模塊,模塊的各個參數(shù)由設(shè)計者為滿足設(shè)計要求自行定制,只要修改模宏功能模塊是參數(shù)化的模塊,模塊的各個參數(shù)由設(shè)計者為滿足設(shè)計要求自行定制,只要修改模塊參數(shù),就可以得到滿足需要的

51、特定模塊。宏功能模塊設(shè)有:算術(shù)運算模塊塊參數(shù),就可以得到滿足需要的特定模塊。宏功能模塊設(shè)有:算術(shù)運算模塊arithmetic、門單、門單元模塊元模塊gates、i/o模塊模塊io和存儲器模塊和存儲器模塊storage。 (2)others(其它模塊)(其它模塊)這是一個與這是一個與maxplux2兼容的模塊庫,包含兼容的模塊庫,包含74系列的器件符號和各種組合電路模塊符號,在模塊系列的器件符號和各種組合電路模塊符號,在模塊編輯器中可以查看符號內(nèi)部的電路結(jié)構(gòu)。例如,輸入二選一數(shù)據(jù)選擇器符號編輯器中可以查看符號內(nèi)部的電路結(jié)構(gòu)。例如,輸入二選一數(shù)據(jù)選擇器符號21mux,在模塊編,在模塊編輯器中雙擊該

52、符號,就會出現(xiàn)輯器中雙擊該符號,就會出現(xiàn)21mux的內(nèi)部電路結(jié)構(gòu)和說明。的內(nèi)部電路結(jié)構(gòu)和說明。(3)primitves(圖元)(圖元)圖元主要包括以下幾種功能模塊:圖元主要包括以下幾種功能模塊:buffer(緩沖器)、(緩沖器)、logic(基本邏輯符號)、(基本邏輯符號)、pin(引腳符(引腳符號)、號)、storage(觸發(fā)器)和(觸發(fā)器)和other(其它功能模塊)。(其它功能模塊)。其中最重要的就是其中最重要的就是pin目錄下的輸入引腳目錄下的輸入引腳input和輸出引腳和輸出引腳output,這兩個引腳是任何原理圖文件都要用到的引腳符號。,這兩個引腳是任何原理圖文件都要用到的引腳符號

53、。(4)自己創(chuàng)建模塊符號)自己創(chuàng)建模塊符號設(shè)計過程中,創(chuàng)建符號是經(jīng)常用到的功能之一。讀者可以為設(shè)計項目創(chuàng)建專門為自己使用的模設(shè)計過程中,創(chuàng)建符號是經(jīng)常用到的功能之一。讀者可以為設(shè)計項目創(chuàng)建專門為自己使用的模塊符號,方便在原理圖編輯中多次調(diào)用。塊符號,方便在原理圖編輯中多次調(diào)用。自己創(chuàng)建模塊符號步驟:自己創(chuàng)建模塊符號步驟:首先打開已編譯成功的文件,例如打開文件首先打開已編譯成功的文件,例如打開文件myexam1.vhd。然后選擇。然后選擇菜單菜單filecreate updatecreate symbol files for current file,即可完成當(dāng)前文件,即可完成當(dāng)前文件myexa

54、m1.vhd符號的創(chuàng)建。符號的創(chuàng)建。查看自己創(chuàng)建的模塊符號:查看自己創(chuàng)建的模塊符號:打開打開symbol對話框,可以看到對話框,可以看到libraries欄目的欄目的project文件夾中包含文件夾中包含了用戶創(chuàng)建的模塊符號了用戶創(chuàng)建的模塊符號myexam1,如圖所示。,如圖所示。調(diào)用自己創(chuàng)建的模塊符號:調(diào)用自己創(chuàng)建的模塊符號:與調(diào)用其他模塊符號的方法一樣。與調(diào)用其他模塊符號的方法一樣。3. 模塊符號輸入模塊符號輸入輸入符號的過程都是一樣的,這里以輸入自己創(chuàng)建的模塊符號輸入符號的過程都是一樣的,這里以輸入自己創(chuàng)建的模塊符號myexam1為例,其過程如下:為例,其過程如下:(1)在圖形編輯器窗口

55、下,選擇菜單)在圖形編輯器窗口下,選擇菜單editinsert symbol或點擊工具欄中模塊符號圖標(biāo),也或點擊工具欄中模塊符號圖標(biāo),也可以直接在編輯器空白處雙擊鼠標(biāo)左鍵,出現(xiàn)可以直接在編輯器空白處雙擊鼠標(biāo)左鍵,出現(xiàn)symbol對話框。對話框。(2)在)在symbol對話框的對話框的project中,可以看到自己創(chuàng)建好的模塊符號中,可以看到自己創(chuàng)建好的模塊符號myexam1,在,在symbol對話對話框右側(cè)出現(xiàn)該符號。如果擴展框右側(cè)出現(xiàn)該符號。如果擴展quartuslibraries中的文件夾,可以選擇中的文件夾,可以選擇quartusii提供的各類模提供的各類模塊符號。也可以直接在塊符號。也

56、可以直接在name欄中輸入關(guān)鍵字,選擇符號。欄中輸入關(guān)鍵字,選擇符號。(3)點擊)點擊ok按鈕,在圖形編輯器中點擊鼠標(biāo)左鍵,插入模塊符號按鈕,在圖形編輯器中點擊鼠標(biāo)左鍵,插入模塊符號myexam1。(4)重復(fù)以上三步,可輸入其它邏輯符號。右鍵選中符號,拖動鼠標(biāo),可復(fù)制并連續(xù)輸入符)重復(fù)以上三步,可輸入其它邏輯符號。右鍵選中符號,拖動鼠標(biāo),可復(fù)制并連續(xù)輸入符號。刪除符號時,選中符號,按鍵盤號。刪除符號時,選中符號,按鍵盤delete鍵即可。鍵即可。symbol對話框左下角的三個復(fù)選框表示輸入符號的不同方式:連續(xù)輸入符號(對話框左下角的三個復(fù)選框表示輸入符號的不同方式:連續(xù)輸入符號(repeat-

57、insert mode)、以圖表模塊形式輸入符號()、以圖表模塊形式輸入符號(insert symbol as block)、打開宏模塊導(dǎo)航)、打開宏模塊導(dǎo)航megawizard plug-in manager(launch megawizard plug-in)。)。 4. 引腳輸入引腳輸入注意:注意:原理圖的輸入輸出必須連接相應(yīng)的引腳,并對引腳進行命名才能使用。原理圖的輸入輸出必須連接相應(yīng)的引腳,并對引腳進行命名才能使用。引腳輸入:引腳輸入:擴展擴展symbol符號庫符號庫quartuslibraries中的文件夾中的文件夾primitivespin。選擇引腳類型:選擇引腳類型:bidir

58、(雙向引腳)、(雙向引腳)、input(輸入引腳)、(輸入引腳)、output(輸入引腳)。(輸入引腳)。引腳命名步驟:引腳命名步驟:鼠標(biāo)右鍵單擊引腳符號,打開鼠標(biāo)右鍵單擊引腳符號,打開properties屬性對話框,在屬性對話框,在general欄的欄的pin name(s)中填寫自定義的引腳名稱?;蛘哂檬髽?biāo)指向引腳符號的中填寫自定義的引腳名稱?;蛘哂檬髽?biāo)指向引腳符號的pin_name,雙擊鼠標(biāo),鍵入引,雙擊鼠標(biāo),鍵入引腳名稱,按回車鍵,自動指向下一個引腳的腳名稱,按回車鍵,自動指向下一個引腳的pin_name 處,繼續(xù)對下一個引腳命名。處,繼續(xù)對下一個引腳命名。例如:例如:myexam1需

59、要連接的引腳類型有兩個需要連接的引腳類型有兩個input 和一個和一個output。5. 符號連接符號連接符號連接工具:符號連接工具:在在quartusii的模塊編輯器中用的模塊編輯器中用“智能智能”連接工具(節(jié)點、總線和管道工具)連連接工具(節(jié)點、總線和管道工具)連接模塊和符號。管道用于連接圖表模塊,代表進出模塊的一個或多個接模塊和符號。管道用于連接圖表模塊,代表進出模塊的一個或多個i/o端口信號的總線組。端口信號的總線組。符號連接方法:符號連接方法:鼠標(biāo)位于符號的引腳邊沿處,鼠標(biāo)箭頭指針自動變成十字形畫線指針,按住左鼠標(biāo)位于符號的引腳邊沿處,鼠標(biāo)箭頭指針自動變成十字形畫線指針,按住左鍵托動

60、鼠標(biāo)至連接結(jié)束點放開,形成符號之間的連接。如果連接線名稱一致,如鍵托動鼠標(biāo)至連接結(jié)束點放開,形成符號之間的連接。如果連接線名稱一致,如q5.0,可以,可以不用連線,邏輯上這兩處連接線是連接在一起的,如圖所示。不用連線,邏輯上這兩處連接線是連接在一起的,如圖所示。連接命名方法:連接命名方法:右鍵單擊該連接線,選擇右鍵單擊該連接線,選擇properties,在,在node properties對話框?qū)υ捒騡eneral中輸入中輸入連線名連線名q5.0,點擊確定按鈕。,點擊確定按鈕。修改連接方式:修改連接方式:右鍵單擊該連接線,有節(jié)點右鍵單擊該連接線,有節(jié)點node line、總線、總線bus line或管道或管道con

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論