


版權說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權,請進行舉報或認領
文檔簡介
1、程序改錯題1. 已知 sei 為 STD_L0GIC_VECT0R(1 DOWNTO 0)類型的信號,而 a b、c、d、 q 均為 STD_LOGIC 類型的信號,請判斷下面給出的 CASE 語句程序片段:CASE sei ISWHE“N 00” =>q<=a;WHE“N 01 ” =>q<=b;WHE“N 10” =>q<=c;WHE“N 11 ” =>q<=d;END CASE;答案:CASE語句缺“ WHEN OTHERS語句。2. 已知 datan1, datan2為 STD_LOGIC_VECTOR(15 DOWNTO 0) 類型的輸
2、入 端口,data_out為 STD_LOGIC_VECTOR(15 DOWNTO 0)類型的輸出端口, add_sub為STD_LOGIC類型的輸入端口,請判斷下面給出的程序片段:LIBRARY IEEE ;USE ;ENTITY add ISPORT(data_in1 , data_in2: IN INTEGER ;data_out: OUT INTEGER);END add;ARCHTECTURE add_arch OF add ISCONSTANT a:INTEGER<=2 ;BEGINdata_out<=( data_in1+ data_in2) * a;END adds
3、ub_arch;答案:常量聲明時賦初值的“ <=”符號應改用“ := ”符號。3已知Q為STD_LOGIC類型的輸出端口,請判斷下面的程序片段:ARCHITECTURE test_arch OF test ISBEGINSIGNAL B : STD_LOGIC ;Q<= B ;END test_arch答案:信號 SIGNAL 的聲明語句應該放在 BEGIN 語句之前。4.已知 A 和 Q 均為 BIT 類型的信號,請判斷下面的程序片段:ARCHITECTURE archtest OF test ISBEGINCASE A ISWHEN0'=>Q<=;1'
4、;WHEN1'=>Q<=;0'END CASE;END archtest;答案:CASE語句應該存在于進程 PROCESS內(nèi)。三.判斷改錯題(3分X 6題)(評分標準:給出正確答案1分/題;答案基本正確分/題。)1 已知 A 和 Q 均為 BIT 類型的信號,請判斷下面的程序片斷: ARCHITECTURE test OF test ISBEGINCASE A ISWHEN '0' => Q <= '1' ;WHEN '1' => Q <= '0' ;END CASE ;END
5、test ;【參考答案】: CASE 語句應該存在于進程 PROCESS 內(nèi)。2 已知 start 為 STD_LOGIC 類型的信號, sum 是 INTEGER 類型的信號,請判斷下面 的程序片斷:PROCESS (start)BEGINFOR i IN 1 TO 9 LOOPsum := sum + i ;END LOOP ;END PROCES;S【參考答案】:sum是信號,其賦值符號應該由“:=”改為“ <=”。3 已知 Q 為 STD_LOGIC 類型的輸出端口,請判斷下面的程序片斷: ARCHITECTURE test OF test ISBEGINSIGNAL B : S
6、TD_LOGIC ;Q <= B ;END test ;【參考答案】: 信號 SIGNAL 的申明語句應該放在 BEGIN 語句之前。4 已知 A 和 B 均為 STD_LOGIC 類型的信號,請判斷下面的語句:A <= '0' ;【參考答案】:不定態(tài)符號應該由小寫的 x'改為大寫的 X '。5 已知 A 為 INTEGER 類型的信號, B 為 STD_LOGIC 類型的信號,請判斷下面的程 序片斷:ARCHITECTURE test OF test ISBEGINB <= A ;END test ;【參考答案】:A和B的數(shù)據(jù)類型不一致,不能
7、相互賦值。6.已知sei 是STD_L0GIC_VECT0R(1 DOWN類型信言號,而 a、b、c、d、q均為STD_LOGI類型信號,請判斷下面給出的CAS語句:?CASE sei ISWHEN“00”=> q <= aWHEN“01”=> q <= b?WHE N“ 1 0”=> q <= c?WHEN“11”=> q <= d?END CASE;參考答案】:CASE語句缺“ WHEN OTHER語句。四、判斷下面程序中是否有錯誤,若有錯誤請改正;1、SIGNAL A,EN:STD_L0GIC;PR0CESS(A,EN)VARIABLE B
8、:STD_L0GIC;BEGINIF EN=1' THENB<=A;END ;END PR0CESS;2、RCHITECTURE 0NE 0F SAMPLE IS VARIABLE A, B, C: INTEGER; BEGINC<=A+B;END ;五、判斷下列程序是否有錯誤,如有則指出錯誤所在(10 分)程序:LIBRARY IEEE;USEzyt12 ISPORT(R,EN,CP: IN bit;Q: BUFFER STD_LOGIC_VECTOR(0 DOWNTO 3); CO: OUT STD_LOGIC); END zyt;ARCHITECTURE c10 OF
9、 zyt12 BEGINCO<='1' WHEN(EN='1' AND Q="1011") ELSE ; '0'PROCESS(R,CP)BEGINIF R='1' THENQ<="0000"ELSIF (CP'EVENT AND CP<='1') THENIF EN='0' THENQ<=Q;ELSIF Q="1011" THEN Q<= 0000' ;ELSE Q:=Q+1; END IF;
10、 END PROCESS; END one; 仔細閱讀下列程序,回答問題LIBRARY IEEE;- 1USE - 2ENTITY LED7SEG IS- 3PORT ( A : IN STD_LOGIC_VECTOR(3 DOWNTO 0);- 4CLK : IN STD_LOGIC;- 5LED7S : OUT STD_LOGIC_VECTOR(6 DOWNTO 0);- 6END LED7SEG;- 7ARCHITECTURE one OF LED7SEG IS- 8SIGNAL TMP : STD_LOGIC;- 9BEGIN- 10SYNC : PROCESS(CLK, A)- 11
11、BEGIN- 12IF CLK'EVENT AND CLK = '1' THEN- 13TMP <= A;- 14END IF;- 15END PROCESS;- 16OUTLED : PROCESS(TMP)- 17BEGIN- 18CASE TMP IS- 19WHEN "0000" => LED7S <= "0111111"- 20WHEN "0001" => LED7S <= "0000110"- 21WHEN "0010" =>
12、; LED7S <= "1011011"- 22WHEN "0011" => LED7S <= "1001111"- 23WHEN "0100" => LED7S <= "1100110"- 24WHEN "0101" => LED7S <= "1101101"- 25WHEN "0110" => LED7S <= "1111101"- 26WHEN "
13、0111" => LED7S <= "0000111"- 27WHEN "1000" => LED7S <= "1111111"- 28WHEN "1001" => LED7S <= "1101111"- 29END CASE;- 30END PROCESS;- 31END one;- 321. 在程序中存在兩處錯誤,試指出,并說明理由:第 14 行 TMP 附值錯誤第 29 與 30 行之間,缺少 WHEN OTHERS 語句2. 修改相應行的程序
14、:錯誤 1 行號: 9 程序改為:TMP : STD_LOGIC_VECTOR(3 DOWNTO 0);錯誤 2 行號: 29 程序改為: 該語句后添加 WHEN OTHERS => LED7S <= "00000001、LIBRARY IEEE;USEUSEENTITY CNT4B ISPORT (CLK,RST,ENA: IN STD_LOGIC;OUTY : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);COUT : OUT STD_LOGIC );END CNT4B;ARCHITECTURE behav OF CNT4B ISSIGNAL CQ
15、I : STD_LOGIC_VECTOR(3 DOWNTO 0);BEGINPROCESS(CLK, RST, ENA)IF RST = '1' THEN CQI <= '0000'ELSIF CLK'EVENT AND CLK = '1' THENIF ENA = "1" THEN CQI <= CQI + 1;ELSE CQI <= "0000"END IF;OUTY <= CQI ;END PROCESS;COUTV=CQI(0) AND CQI(1) AND CQI
16、(2) AND CQI (3);END behav;2、LIBRARY IEEE;USEUSEUSE CLK_6D ISPORT (CLK,RST: IN STD_LOGIC;CLK_OUT: OUT STD_LOGIC);END CLK_6D;ARCHITECTURE ONE OF CLK_6D IS"0000"'1'END IF;VARIABLE TEMP:STD_LOGI(SIGNALPROCESS(CLK)VARIABLE CNT6D: INTEGER RANGE 0 TO 3;CONSTANT SIGN: INTEGER:=2;BEGINIF (R
17、ST =“ 1” ) THEN TEMP <= “0” ;'1' , 'O'ELSIF CLK'EVENT AND CLK='1' THEN(CLK'EVENT AND CLK='1')IF (CNT6D = SIGN) THENCNT6D := 0;TEMP <= NOT TEMP;ELSE CNT6D := CNT6D+1;END IF;END IF;END PROCESS;CLK_OUT <= TEMP;END ONE;3、library ieee;use en coder isy:out
18、std_logic_vector(2 dow nto 0)port(b;_ in std_logic(7 downto 0); b:in stdogic_vector(7downto 0)y_out stdo gic(2 dow nto 0);end en coder;architecture one of encoder is beginprocess (b)when others => null;end case;end process;end one;4、LIBRARY IEEE;USE ENTITY counter IS PORT ( reset: IN STD_LOGIC; c
19、lock: IN STD_LOGIC;num: buffer integer range 0 to 3; 多一個“; ”);END;ARCHITECTURE behav OF jishu IS jishu 改為 counterBeginProcess(reset,clock)BeginIf reset= '1' thennum<=0;Elsif rising_edge(clock) thenIf num=3 the nnum<=0;else少 end if;num<二nu m+1;end if;end process;end;5、LIBRARY IEEE;US
20、EUSE ;ENTITY LX3_2 ISPORT(CLK,CLR,OE:IN BIT;D:IN STD_LOGIC_VECTOR(7 DOWNTO 0);Q:OUT STD_LOGIC_VECTOR(7 DOWNTO 0);END LX3_2;ARCHITECTURE struc OF LX3_2 ISVARIABLE Q_TEMP:STD_LOGIC_VECTOR(7 DOWNTO 0); SIGNALBEGINPROCESS(CLR)PROCESS(CLK)BEGINIF CLR='0' THEN Q_TEMP<='00000000'"00000000"ELSIF CLK='1' THENQ_TEMP<=D;ELSE Q_TEMP<=Q_TEMP;END IF;END PROCE
溫馨提示
- 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
- 4. 未經(jīng)權益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責。
- 6. 下載文件中如有侵權或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。
最新文檔
- 防癌護理科普
- 三下鄉(xiāng)暑期實踐活動個人總結模版
- 護士行業(yè)實習心得體會模版
- 新疆吐魯番市2024-2025學年高一下學期期中考試 生物 含解析
- 學生旅游活動方案
- 18 文言文二則《囊螢夜讀》課件
- 2025屆山東省招遠市八下數(shù)學期末質(zhì)量跟蹤監(jiān)視模擬試題含解析
- 調(diào)經(jīng)止痛護理方案
- 仁愛英語七年級上知識點短語總結模版
- 特應性角結膜炎的臨床護理
- GB/T 25085.2-2024道路車輛汽車電纜第2部分:試驗方法
- 【水利水電】李想 案例專項班教案 03-案例專項班(三)
- 水利工程項目預算管理方案
- 銀行大額存單業(yè)務培訓
- wps計算機二級試題及答案
- 師德師風-做“四有”好老師
- 衣食住行見證改革開放時代變遷-(修訂)
- 弱電智能化施工方案
- TQGCML 3946-2024 柴油發(fā)電機組維護保養(yǎng)規(guī)范
- 輸變電工程質(zhì)量通病防治手冊
- 新生兒X線檢查
評論
0/150
提交評論